„Laboratórium 1 - 2008 őszi ZH megoldások” változatai közötti eltérés

A VIK Wikiből
Ugrás a navigációhoz Ugrás a kereséshez
a (David14 átnevezte a(z) Labor 1. 2008 ZH-k lapot a következő névre: Laboratórium 1 - 2008 őszi ZH megoldások)
a
1. sor: 1. sor:
{{GlobalTemplate|Villanyalap|LaborI2008ZH}}
+
== 1. Feladat ==
  
 +
'''Egy 10 V csúcsértékű, 1 kHz frekvenciájú szimmetrikus négyszögjelet mérünk az alábbi műszerekkel, mekkora értéket mutatnak?'''
 +
 +
Mindegyik szinuszos jelet feltételez, és mindegyik effektív értéket jelez ki.
 +
 +
{| border="1"
 +
|'''Mérőműszer''' || '''Mért érték''' || '''Kijelzett érték'''
 +
|-
 +
|'''Effektív érték mérő''' || <math> 10 V </math> || <math> \frac{10}{\sqrt{2}} V </math>
 +
|-
 +
|'''Csúcsértékmérő''' || <math> 10 V </math> || <math> 10 V </math>
 +
|-
 +
|'''Abszolút középértékmérő''' || <math> 10 V </math> || <math> 10* \frac{\pi}{2\sqrt{2}} V </math>
 +
|}
 +
 +
''Nem biztos, hogy helyes ez a megoldás!''
 +
 +
== 2. Feladat ==
 +
 +
'''Azonos frekvenciájú szinuszos jelek közötti fázisszöget mérünk oszcilloszkóppal időeltolódás és periódusidő alapján:'''
 +
 +
'''a) Rajzolja fel a mérési elrendezést!'''
 +
 +
A két jelet az oszcilloszkóp két különböző csatornájára tesszük. Mindkét jelen megkeresünk egy azonos fázishelyzetnek megfelelő értéket, célszerű a nullátmenetet választani. Ezek távolsága adja meg az időtengelyen a késleltetést, ami <math> \Delta t</math>. A T periódusidő meghatározható bármelyik jel két egymás utáni azonos irányú nullátmenete alapján.
  
==Labor 1. - 2008. ZH megoldással==
+
'''b) Rajzolja fel a mért jelalakokat, jelölje be rajta a mért mennyiségeket, és adja meg a fázisszög származtatási összefüggését!'''
  
====1. _Egy 10V csúcsértékű, 1kHz frekvenciájú szimmetrikus négyszögjelet mérünk az alábbi műszerekkel, mekkora értéket mutatnak?_====
+
A fázisszög az alábbi képlettel határozható meg: <math> \varphi = 360^{\circ} \frac{\Delta t}{T} </math>
+
Mindegyik sinusos jelet feltételez, és mindegyik effektív értéket jelez ki
+
[[Fájl:Labor1 kép10.gif]]
| mérőműszer || mért érték || kijelzett érték||
 
|}
 
|effektív érték mérő || 10V || 10V || =>??? nem <math> \frac{10}{\sqrt{2}} </math>?
 
|}
 
|csúcsértékmérő || 10V || <math> \frac{10}{\sqrt{2}} </math> || =>??? nem 10V?
 
|}
 
|abszolút középértékmérő || 10V || szorozva a szinusz formatényezőjével:10* <math>\frac{\pi}{2\sqrt{2}} </math> ||
 
|}
 
  
//Később szerkesztendő:
+
'''c) A periódusidőt és a fázistolást ugyanazzal az időalappal mérjük. A leolvasási bizonytalanság 1%, az időalap-generátor  erősítéshibája 0,5% és a függőleges erősítő erősítőhibája 0,5%. Mekkora a fázisszögmérés relatív hibája legrosszabb esetben?'''
  
A helyes megoldást lásd itt:
+
A mérés előnye, hogy nem függ a pontosság az oszcilloszkóp időalapjának pontosságától. Legrosszabb esetben ( ''worst case'' ) a hiba: 1%, mivel az erősítéshiba nem változtatja meg a nullátmeneteket.
  
http://www.google.com/url?sa=t&rct=j&q=&esrc=s&source=web&cd=1&ved=0CBsQFjAA&url=http%3A%2F%2Fbme.ysolt.net%2F4_felev%2FMerestechnika%2FPeceli_jegyzet%2Fmt-ea-6.pdf&ei=ptbbTreANJDMswah8NHuBQ&usg=AFQjCNHkpOANWKUFTzEAL8ymVXXoym_lJA
+
== 3. Feladat ==
  
-- [[IhaszDavid|Dave]] - 2011.12.04.//
+
'''Adja meg az ideális szinuszjel és szimmetrikus háromszögjel amplitúdóspektrumát! A spektrumokat jellegre helyes ábrán szemléltesse!'''
  
====2. _Azonos frekvenciájú szinuszos jelek közötti fázisszöget mérünk oszcilloszkóppal időeltolódás és periódusidő alapján_====
+
*Szinusz jel spektruma:
  
** ''Mérési elrendezés''
+
[[Fájl:Labor1 kép11.gif]]
A két jelet az oszcilloszkóp két különböző csatornájára tesszük. Mindkét jelen megkeresünk egy azonos fázishelyzetnek megfelelő értéket, célszerű a nullátmenetet választani. Ezek távolsága adja meg az időtengelyen a késleltetést, ami <math> \Delta t</math>. A _T_ periódusidő meghatározható bármelyik jel két egymás utáni azonos irányű nullátmenete alapján.
 
** ''Rajzolja fel a mért jelalakokat, jelölje be rajta a mért mennyiségeket, és adja meg a fázisszög származtatási összefüggését!''
 
A fázisszög az alábbi képlettel határozható meg:
 
<math> \varphi = 360^{\circ} \frac{\Delta t}{T} </math>
 
<br />
 
{{InLineImageLink|Villanyalap|LaborI2008ZH|ZH_2008_2b.gif}}
 
** ''A periódusidőt és a fázistolást ugyanazzal az időalappal mérjük. A leolvasási bizonytalanság 1%, az időalap-generátor  erősítéshibája 0,5% és a függőleges erősítő erősítőhibája 0,5%. Mekkora a fázisszögmérés relatív hibája legrosszabb esetben?''
 
  
A mérés előnye, hogy nem függ a pontosság az oszcilloszkóp időalapjának pontosságától.
+
*Háromszögjel időfüggvénye és spektruma:
Legrosszabb esetben ( ''worst case'' ) a hiba: 1%, mivel az erősítéshiba nem változtatja meg a nullátmeneteket.
 
  
====3. _Adja meg az ideális szinuszjel és szimmetrikus háromszögjel amplitúdóspektrumát! A spektrumokat jellegre helyes ábrán szemléltesse!_====
+
[[File:Labor1 kép12.gif]]
  
* Szinusz jel spektruma: <br />
+
*Megjegyzés: spektrum meghatározása: <math> a_n = \frac{4A}{n^2 {\pi}^2} \sin \left| \frac{n \pi}{2} \right| </math>
{{InLineImageLink|Villanyalap|LaborI2008ZH|ZH_2008_3.gif}}
 
  
* Háromszögjel időfüggvénye és spektruma: <br />
+
== 4. Feladat ==
{{InLineImageLink|Villanyalap|LaborI2008ZH|ZH_2008_3b.gif}}
 
  
** Megjegyzés: spektrum meghatározása: <math> a_n = \frac{4A}{n^2 {\pi}^2} \sin \left| \frac{n \pi}{2} \right| </math>
+
'''Rajzolja föl a kettő- illetve a négyvezetékes impedanciamérést! Milyen esetekben fontos a négyvezetékes elrendezés?'''
  
====4. _Rajzolja föl a kettő- illetve a négyvezetékes impedanciamérést! Milyen esetekben fontos a négyvezetékes elrendezés?_====
+
Négyvezetékes mérés jelentősége: Kis impedanciák esetén a hozzávezetési és kontaktellenállásokat hatástalanítandó, a négykapcsú mérési elrendezés indokolt, ha összemérhető a mérendő ellenállás értéke a hozzávezetések ellenállásával.
  
* Négyvezetékes mérés jelentősége:
+
[[Fájl:Labor1 kép13.gif]]
Kis impedanciák esetén a hozzávezetési és kontaktellenállásokat hatástalanítandó, a négykapcsú mérési elrendezés indokolt. (ha összemérhető a mérendő ellenállás értéke a hozzávezetések ellenállásával)
 
  
<br />
+
== 5. Feladat ==
{{InLineImageLink|Villanyalap|LaborI2008ZH|ZH_20084.gif}}
 
  
====5. _Rajzolja fel egy 2:1 áttételű transzformátor modelljét! Ismertesse a modell fizikai jelentését! Hogyan viszonyulnak egymáshoz a modellparaméterek laza és soros csatolás esetén?_====
+
'''Rajzolja fel egy 2:1 áttételű transzformátor modelljét! Ismertesse a modell fizikai jelentését! Hogyan viszonyulnak egymáshoz a modellparaméterek laza és soros csatolás esetén?'''
  
<br />
+
[[Fájl:Labor1 kép14.gif]]
{{InLineImageLink|Villanyalap|LaborI2008ZH|ZH_2008_5.gif}}
 
  
| <math> U_1 </math> || primer feszültség ||  
+
{| border="1"
|}
+
| <math> U_1 </math> || primer feszültség ||  
| <math> U_2 </math> || szekunder feszültség ||  
+
|-
|}
+
| <math> U_2 </math> || szekunder feszültség ||  
| <math> Z_1, Z_2 </math> || primer, szekunder oldali szórási impedanciák || valós komponens: rézellenállás, képzetes komponens: szórási induktivitás  
+
|-
|}
+
| <math> Z_1, Z_2 </math> || primer, szekunder oldali szórási impedanciák || Valós komponens: rézellenállás; Képzetes komponens: szórási induktivitás  
| <math> Z_0 </math> || mágnesező impedancia || <math> L_0 </math> mágnesező impedanciából és <math> R_0 </math> vasveszteségi ellenállásból áll  
+
|-
 +
| <math> Z_0 </math> || mágnesező impedancia || <math> L_0 </math> mágnesező impedanciából és <math> R_0 </math> vasveszteségi ellenállásból áll  
 
|}
 
|}
  
 
Sorosnál a főmező reaktancia nagyságrendekkel nagyobb, mint a szórt lazánál pedig fordítva.
 
Sorosnál a főmező reaktancia nagyságrendekkel nagyobb, mint a szórt lazánál pedig fordítva.
  
====6. _Egy D flip-flopot a következő gyári adatok jellemeznek_====
+
== 6. Feladat ==
 +
 
 +
'''Egy D flip-flopot a következő gyári adatok jellemeznek:'''
  
 
{| border="1"
 
{| border="1"
80. sor: 85. sor:
 
|}
 
|}
  
A flip-flop adatbemenetére jutó jelet egy inverteren keresztül vezetjük keresztül az alábbi ábrán látható módon.
+
'''A flip-flop adatbemenetére jutó jelet egy inverteren keresztül vezetjük keresztül az alábbi ábrán látható módon.'''
  
<br /> {{InLineImageLink|Villanyalap|LaborI2008ZH|ZH_2008_6.gif}}
+
[[Fájl:Labor1 kép15.gif]]
  
Az inverter jelterjedési késleltetései:
+
'''Az inverter jelterjedési késleltetései:'''
  
 
{| border="1"
 
{| border="1"
94. sor: 99. sor:
 
|}
 
|}
  
'''Adja meg a worst case setup időt erre a módosított flip-flopra!'''
+
'''Adja meg a worst case setup időt erre a módosított flip-flopra!'''
  
'''Megoldás:''' sztem 15 ns a setup worst case-ben
+
15 ns a setup worst case-ben
  
 
<math> t_{su}' = t_{su} - min(t_{LH}) + max(t_{LH},t_{HL}) </math>
 
<math> t_{su}' = t_{su} - min(t_{LH}) + max(t_{LH},t_{HL}) </math>
102. sor: 107. sor:
 
<math> t_h'  = t_h  + max(t_{LH}) - min(t_{LH},t_{HL}) </math>
 
<math> t_h'  = t_h  + max(t_{LH}) - min(t_{LH},t_{HL}) </math>
  
Itt a '-s tagok a módosított ff paraméterei.
+
Itt a '-s tagok a módosított ff paraméterei. Az első korrekciós tag az órajel késleltetésének a hatása, ezért kell csak a <math> t_{LH} </math> sorból venni a min/max értékeket (táblázat első sora). A második korrekciós tag az adat késleltetésének eredménye, így a <math> t_{LH} </math> és <math> t_{HL} </math> sorokat is figyelembe kell venni (tehát az egész táblázatot).
Az első korrekciós tag az órajel késleltetésének a hatása, ezért kell csak a <math> t_{LH} </math> sorból venni a min/max értékeket (táblázat első sora).
 
A második korrekciós tag az adat késleltetésének eredménye, így a <math> t_{LH} </math> és <math> t_{HL} </math> sorokat is figyelembe kell venni (tehát az egész táblázatot).
 
  
 
Amikor egy korrekciós taggal növeljük az eredményt, akkor maximim kell, amikor csökkentjük, akkor minimum kell, így lesz a végeredmény maximális, tehát worst-case eredmény".
 
Amikor egy korrekciós taggal növeljük az eredményt, akkor maximim kell, amikor csökkentjük, akkor minimum kell, így lesz a végeredmény maximális, tehát worst-case eredmény".
  
====7. _Rajzolja fel a bipoláris tranzisztor 5 elemes helyettesítőképét! Adja meg a helyettesítőkép elemeit a tranzisztor fizikai paramétereivel!_====
+
== 7. Feladat ==
 +
 
 +
'''Rajzolja fel a bipoláris tranzisztor 5 elemes helyettesítőképét! Adja meg a helyettesítőkép elemeit a tranzisztor fizikai paramétereivel!'''
  
{{InLineImageLink|Villanyalap|LaborI2008ZH|ZH_2008_7.gif}}
+
[[Fájl:Labor1 kép16.gif]]
  
 
* <math> g_{b'c} = \frac{1}{r_c}- \mu g_{b'e} </math>
 
* <math> g_{b'c} = \frac{1}{r_c}- \mu g_{b'e} </math>
118. sor: 123. sor:
 
* <math> g_m = \frac{ I_c }{ U_t } </math>
 
* <math> g_m = \frac{ I_c }{ U_t } </math>
  
 +
== 8. Feladat ==
  
====8. _Egy törölhető 6-os számláló (<math> Q_2 \dots Q_0, Cl, CLK </math>) a katalógus alapján maximálisan 30MHz-es órajellel működtethető. Meg kell határoznunk, hogy egy konkrét példánynak mekkora a maximális működési frekvenciája. Rendelkezésre áll egy változtatható frekvenciájú (1Hz...200MHz) generátor és egy logikai analizátor. A számláló bemeneteire tetszőleges konstans logikai értéket kapcsolhat (kapcsolók segítségével). Röviden írja le, hogy miként oldaná meg a feladatot!_====
+
'''Egy törölhető 6-os számláló (<math> Q_2 \dots Q_0, Cl, CLK </math>) a katalógus alapján maximálisan 30MHz-es órajellel működtethető. Meg kell határoznunk, hogy egy konkrét példánynak mekkora a maximális működési frekvenciája. Rendelkezésre áll egy változtatható frekvenciájú (1Hz...200MHz) generátor és egy logikai analizátor. A számláló bemeneteire tetszőleges konstans logikai értéket kapcsolhat (kapcsolók segítségével). Röviden írja le, hogy miként oldaná meg a feladatot!'''
  
 
A logikai analizátor adat bemeneteire csatlakoztatjuk a számláló kimeneteit. Állapotanalízis üzemmódot állítunk be, a számláló órajele a mintavevő órajel. A végállapotot (111) állítjuk be leállási feltételként. 30MHz-től növekvő frekvenciákon ellenőrizzük, hogy a számláló egymást követő állapotai megfelelnek-e a bináris számláló működésének. A legalacsonyabb olyan frekvencia ahol még igen a maximális működési frekvencia.
 
A logikai analizátor adat bemeneteire csatlakoztatjuk a számláló kimeneteit. Állapotanalízis üzemmódot állítunk be, a számláló órajele a mintavevő órajel. A végállapotot (111) állítjuk be leállási feltételként. 30MHz-től növekvő frekvenciákon ellenőrizzük, hogy a számláló egymást követő állapotai megfelelnek-e a bináris számláló működésének. A legalacsonyabb olyan frekvencia ahol még igen a maximális működési frekvencia.
125. sor: 131. sor:
 
A ''Clear'' -re triggerelünk és az analízist az fogja indítani, hogy töröljük az értékeket.
 
A ''Clear'' -re triggerelünk és az analízist az fogja indítani, hogy töröljük az értékeket.
  
====9. _Hasonlítsa össze a párhuzamos port mérésben vizsgált két üzemmódjának (SPP és EPP) paramétereit az alábbi kategóriák szerint! Amennyiben egy állítás az adott üzemmódra nézve igaz "+", ha hamis akkor "-" jellel jelölje!_====
+
== 9. Feladat ==
 +
 
 +
'''Hasonlítsa össze a párhuzamos port mérésben vizsgált két üzemmódjának (SPP és EPP) paramétereit az alábbi kategóriák szerint! Amennyiben egy állítás az adott üzemmódra nézve igaz "+", ha hamis akkor "-" jellel jelölje!'''
  
 
{| border="1"
 
{| border="1"
141. sor: 149. sor:
 
|}
 
|}
  
====10. _Adjon meg egy olyan tesztvektor-sorozatot az alábbi állapottáblával megadott, egyetlen X bemenettel rendelkező automatához, amely leteszteli az összes állapotátmenetét. A mellékelt táblázatban azt is tüntesse fel, hogy adott bemenetre milyen állapotba kerül az automata! Az automata a RESET jelre az A állapotba kerül._====
+
== 10. Feladat ==
 +
 
 +
'''Adjon meg egy olyan tesztvektor-sorozatot az alábbi állapottáblával megadott, egyetlen X bemenettel rendelkező automatához, amely leteszteli az összes állapotátmenetét. A mellékelt táblázatban azt is tüntesse fel, hogy adott bemenetre milyen állapotba kerül az automata! Az automata a RESET jelre az A állapotba kerül.'''
  
 
{| border="1"
 
{| border="1"
153. sor: 163. sor:
 
|}
 
|}
  
átmenetek:
+
 
A -> B
+
Átmenetek:
B -> C,A
+
*A -> B
C -> C,A  
+
*B -> C,A
 +
*C -> C,A  
 +
 
  
 
{| border="1"
 
{| border="1"
165. sor: 177. sor:
 
|állapot|| A || B || A || B || C || C || A || B  
 
|állapot|| A || B || A || B || C || C || A || B  
 
|}
 
|}
 +
  
 
==Labor 1. - 2008 pótZH==
 
==Labor 1. - 2008 pótZH==

A lap 2013. február 7., 22:47-kori változata

Tartalomjegyzék

1. Feladat

Egy 10 V csúcsértékű, 1 kHz frekvenciájú szimmetrikus négyszögjelet mérünk az alábbi műszerekkel, mekkora értéket mutatnak?

Mindegyik szinuszos jelet feltételez, és mindegyik effektív értéket jelez ki.

Mérőműszer Mért érték Kijelzett érték
Effektív érték mérő [math] 10 V [/math] [math] \frac{10}{\sqrt{2}} V [/math]
Csúcsértékmérő [math] 10 V [/math] [math] 10 V [/math]
Abszolút középértékmérő [math] 10 V [/math] [math] 10* \frac{\pi}{2\sqrt{2}} V [/math]

Nem biztos, hogy helyes ez a megoldás!

2. Feladat

Azonos frekvenciájú szinuszos jelek közötti fázisszöget mérünk oszcilloszkóppal időeltolódás és periódusidő alapján:

a) Rajzolja fel a mérési elrendezést!

A két jelet az oszcilloszkóp két különböző csatornájára tesszük. Mindkét jelen megkeresünk egy azonos fázishelyzetnek megfelelő értéket, célszerű a nullátmenetet választani. Ezek távolsága adja meg az időtengelyen a késleltetést, ami [math] \Delta t[/math]. A T periódusidő meghatározható bármelyik jel két egymás utáni azonos irányú nullátmenete alapján.

b) Rajzolja fel a mért jelalakokat, jelölje be rajta a mért mennyiségeket, és adja meg a fázisszög származtatási összefüggését!

A fázisszög az alábbi képlettel határozható meg: [math] \varphi = 360^{\circ} \frac{\Delta t}{T} [/math]

Hiba a bélyegkép létrehozásakor: Nem lehet a bélyegképet a célhelyre menteni

c) A periódusidőt és a fázistolást ugyanazzal az időalappal mérjük. A leolvasási bizonytalanság 1%, az időalap-generátor erősítéshibája 0,5% és a függőleges erősítő erősítőhibája 0,5%. Mekkora a fázisszögmérés relatív hibája legrosszabb esetben?

A mérés előnye, hogy nem függ a pontosság az oszcilloszkóp időalapjának pontosságától. Legrosszabb esetben ( worst case ) a hiba: 1%, mivel az erősítéshiba nem változtatja meg a nullátmeneteket.

3. Feladat

Adja meg az ideális szinuszjel és szimmetrikus háromszögjel amplitúdóspektrumát! A spektrumokat jellegre helyes ábrán szemléltesse!

  • Szinusz jel spektruma:
Hiba a bélyegkép létrehozásakor: Nem lehet a bélyegképet a célhelyre menteni
  • Háromszögjel időfüggvénye és spektruma:
Hiba a bélyegkép létrehozásakor: Nem lehet a bélyegképet a célhelyre menteni
  • Megjegyzés: spektrum meghatározása: [math] a_n = \frac{4A}{n^2 {\pi}^2} \sin \left| \frac{n \pi}{2} \right| [/math]

4. Feladat

Rajzolja föl a kettő- illetve a négyvezetékes impedanciamérést! Milyen esetekben fontos a négyvezetékes elrendezés?

Négyvezetékes mérés jelentősége: Kis impedanciák esetén a hozzávezetési és kontaktellenállásokat hatástalanítandó, a négykapcsú mérési elrendezés indokolt, ha összemérhető a mérendő ellenállás értéke a hozzávezetések ellenállásával.

Hiba a bélyegkép létrehozásakor: Nem lehet a bélyegképet a célhelyre menteni

5. Feladat

Rajzolja fel egy 2:1 áttételű transzformátor modelljét! Ismertesse a modell fizikai jelentését! Hogyan viszonyulnak egymáshoz a modellparaméterek laza és soros csatolás esetén?

Hiba a bélyegkép létrehozásakor: Nem lehet a bélyegképet a célhelyre menteni
[math] U_1 [/math] primer feszültség
[math] U_2 [/math] szekunder feszültség
[math] Z_1, Z_2 [/math] primer, szekunder oldali szórási impedanciák Valós komponens: rézellenállás; Képzetes komponens: szórási induktivitás
[math] Z_0 [/math] mágnesező impedancia [math] L_0 [/math] mágnesező impedanciából és [math] R_0 [/math] vasveszteségi ellenállásból áll

Sorosnál a főmező reaktancia nagyságrendekkel nagyobb, mint a szórt lazánál pedig fordítva.

6. Feladat

Egy D flip-flopot a következő gyári adatok jellemeznek:

setup time [math] t_{su,max} [/math] 10 ns
hold time [math] t_{h,max} [/math] 5 ns

A flip-flop adatbemenetére jutó jelet egy inverteren keresztül vezetjük keresztül az alábbi ábrán látható módon.

Hiba a bélyegkép létrehozásakor: Nem lehet a bélyegképet a célhelyre menteni

Az inverter jelterjedési késleltetései:

min max
[math] t_{LH} [/math] 3ns 5ns
[math] t_{HL} [/math] 2ns 4ns

Adja meg a worst case setup időt erre a módosított flip-flopra!

15 ns a setup worst case-ben

[math] t_{su}' = t_{su} - min(t_{LH}) + max(t_{LH},t_{HL}) [/math]

[math] t_h' = t_h + max(t_{LH}) - min(t_{LH},t_{HL}) [/math]

Itt a '-s tagok a módosított ff paraméterei. Az első korrekciós tag az órajel késleltetésének a hatása, ezért kell csak a [math] t_{LH} [/math] sorból venni a min/max értékeket (táblázat első sora). A második korrekciós tag az adat késleltetésének eredménye, így a [math] t_{LH} [/math] és [math] t_{HL} [/math] sorokat is figyelembe kell venni (tehát az egész táblázatot).

Amikor egy korrekciós taggal növeljük az eredményt, akkor maximim kell, amikor csökkentjük, akkor minimum kell, így lesz a végeredmény maximális, tehát worst-case eredmény".

7. Feladat

Rajzolja fel a bipoláris tranzisztor 5 elemes helyettesítőképét! Adja meg a helyettesítőkép elemeit a tranzisztor fizikai paramétereivel!

Hiba a bélyegkép létrehozásakor: Nem lehet a bélyegképet a célhelyre menteni
  • [math] g_{b'c} = \frac{1}{r_c}- \mu g_{b'e} [/math]
  • [math] g_{ce} = \frac{ \mu }{r_e} [/math]
  • [math] r_e = \frac{U_t}{I_C} [/math]
  • [math] g_{b'e} = \frac{1}{r_e( \beta +1)} [/math]
  • [math] g_m = \frac{ I_c }{ U_t } [/math]

8. Feladat

Egy törölhető 6-os számláló ([math] Q_2 \dots Q_0, Cl, CLK [/math]) a katalógus alapján maximálisan 30MHz-es órajellel működtethető. Meg kell határoznunk, hogy egy konkrét példánynak mekkora a maximális működési frekvenciája. Rendelkezésre áll egy változtatható frekvenciájú (1Hz...200MHz) generátor és egy logikai analizátor. A számláló bemeneteire tetszőleges konstans logikai értéket kapcsolhat (kapcsolók segítségével). Röviden írja le, hogy miként oldaná meg a feladatot!

A logikai analizátor adat bemeneteire csatlakoztatjuk a számláló kimeneteit. Állapotanalízis üzemmódot állítunk be, a számláló órajele a mintavevő órajel. A végállapotot (111) állítjuk be leállási feltételként. 30MHz-től növekvő frekvenciákon ellenőrizzük, hogy a számláló egymást követő állapotai megfelelnek-e a bináris számláló működésének. A legalacsonyabb olyan frekvencia ahol még igen a maximális működési frekvencia.

A Clear -re triggerelünk és az analízist az fogja indítani, hogy töröljük az értékeket.

9. Feladat

Hasonlítsa össze a párhuzamos port mérésben vizsgált két üzemmódjának (SPP és EPP) paramétereit az alábbi kategóriák szerint! Amennyiben egy állítás az adott üzemmódra nézve igaz "+", ha hamis akkor "-" jellel jelölje!

Tulajdonság SPP EPP Magyarázat ( ez nem volt feladat )
Kétirányú adatátvitel - + Az SPP módban csak kimenő irányú adatátvitel történik, EPP módban lehetséges a cím és adat kivitel mellett ezen paraméterek visszaolvasása is.
Nincs címzési lehetőség + - Az SPP módhoz egyetlen 8 bites kimeneti adatregiszter tartozik. Az EPP módhoz egy 8 bites címregiszter és a lehetséges 256 egyedileg címezhető adat regiszterből csak az első 4 címhez tartozik egy-egy írható/olvasható 8 bites adatregiszter.
Nagy sebesség ?+?
Átvitelszinkronizáció lehetősége ?+?
Szoftveres átvitelvezérlés a PC-ben +

10. Feladat

Adjon meg egy olyan tesztvektor-sorozatot az alábbi állapottáblával megadott, egyetlen X bemenettel rendelkező automatához, amely leteszteli az összes állapotátmenetét. A mellékelt táblázatban azt is tüntesse fel, hogy adott bemenetre milyen állapotba kerül az automata! Az automata a RESET jelre az A állapotba kerül.

X 0 1
A B\0 B\0
B C\1 A\1
C C\1 A\0


Átmenetek:

  • A -> B
  • B -> C,A
  • C -> C,A


RESET 1 0 0 0 1 0 0 0
X - 0 1 1 0 0 1 1
állapot A B A B C C A B


Labor 1. - 2008 pótZH

1. _Graetz típusú egyenirányító_

  • jelölje a váltakozó áramú bemenetet és az egyenáramú kimenetet, jelölje a polaritást is
  • rajzolja fel a kimeneten megjelenő jel alakját abban az esetben, ha a bemenetre [math] f_0 [/math] frekvenciájú szinuszos feszültséget kapcsolunk

A transzfer karakterisztika segítségével megrajzolható, hogy milyen a kimenet.

  • adja meg az egyenirányított jel váltakozó komponensének frekvenciáját

A lüktető egyenáram frekvenciája a váltóáram duplája.


Ezen a helyen volt linkelve a PZH_2008_1.gif nevű kép a régi wiki ezen oldaláról. (Kérlek hozd át ezt a képet ide, különben idővel el fog tűnni a régi wikivel együtt)



Ezen a helyen volt linkelve a Graetz.gif nevű kép a régi wiki ezen oldaláról. (Kérlek hozd át ezt a képet ide, különben idővel el fog tűnni a régi wikivel együtt)


2. Ugyanaz mint az előzőben (azonos frekvenciájú szinuszos...)

3. Adja meg a szimmetrikus négyszögjel amplitúdóspektrumát! Hogyan változik a spektrum, ha a szimmetria megsérül (az előjelváltás nem pontosan félperiódusonként következik be)? A spektrumot jellegre helyes ábrán szemléltesse

A spektrum: [math]f_0[/math] frekvenciájú négyszögjel összetevői [math] n \cdot f_0 [/math] frekvenciákon vannak, ahol [math] n [/math] páratlan szám. Az egyes összetevők amplitúdói a frekvencia növekedtével [math] \frac{1}{x} [/math] szerint csökkennek.

Az alapfrekvencia páratlanszámú többszörösein jelennek meg összetevők csökkenő amplitúdóval, azaz _f_ frekvenciájú négyszögjelnek lesz összetevője [math] f, 3f, 5f, 7f ... [/math] frekvenciákon, ez a végtelenig tart elméletileg. (ugyanis a négyszögjel végtelen sok ilyen szinuszból állítható elő tökéletesen)

Ha nem szimmetrikus a négyszögjel, akkor megjelennek a páros számú többszörösei is az alapharmonikusnak.

4. Három és ötvezetékes mérés. Milyen esetekben fontos az ötvezetékes?


Ezen a helyen volt linkelve a PZH_2008_4.gif nevű kép a régi wiki ezen oldaláról. (Kérlek hozd át ezt a képet ide, különben idővel el fog tűnni a régi wikivel együtt)


Hárompólus négykapcsú mérésénél ötvezetékes mérést kell használnunk, [math] Z_1, Z_2 [/math] impedanciák áramát G pontba tereljük.

5. Egy 600 [math] \Omega [/math] -os forrást TELECOM transzformátor segítségével 600 [math] \Omega [/math] -os terheléshez illesztünk. A transzformátor primer és szekunder ellenállása 25,3 [math] \Omega [/math]. Számítsa ki a transzformátor áttételét!

[math] R_b = R_1 + n^{2}R2 + n^{2}R_t [/math]

ahol [math] R_b [/math] - generátor belső ellenállása [math] R_1, R_2 [/math] - tekercsek DC ellenállása [math] R_t [/math] - terhelő ellenállás [math] n [/math] - menetszám áttétel n = [math] \frac{N_{primer}}{N_{szekunder}} [/math]

[math] n=\sqrt{\frac{R_b - R_1}{R_2 + R_t}}=0.95 [/math]

6. TTL inverter transzfer karakterisztikájának mérés:

  • rajzolja fel a mérési elrendezést
  • határozza meg milyen gerjesztést alkalmazna
  • ábrázolja a gerjesztő jel és az inverter arra adott válaszának időfüggvényét egy ábrán. Ne feledkezzen meg az _y_ tengely (feszültség) helyes skálázásáról!


Ezen a helyen volt linkelve a PZH_2008_6.gif nevű kép a régi wiki ezen oldaláról. (Kérlek hozd át ezt a képet ide, különben idővel el fog tűnni a régi wikivel együtt)


  • a mérésen 0V alapszintű 5[math]V_pp[/math] nagyságú kb. 350Hz-es jellel kellett vizsgálni XY üzemmódban (mindképpen pozitív feszültség kell, hiszen a TTL áramkörök a negatív feszültséget levágják)


Ezen a helyen volt linkelve a PZH_2008_6b.gif nevű kép a régi wiki ezen oldaláról. (Kérlek hozd át ezt a képet ide, különben idővel el fog tűnni a régi wikivel együtt)


7. Rajzolja fel a bipoláris tranzisztor h21 paraméterének mérésére szolgáló mérési összeállítást! Röviden ismertesse a mérés lépéseit!

[math] h_{21} = \frac{\Delta I_c}{\Delta I_B} | U_{CE}=konstans [/math]

Közös emitteres kapcsolás, áramgenerátorosan meghajtjuk a bázis felől (feszgenerátor, és a bemeneti ellenálláshoz képest sokkal nagyobb ellenállás) és UCE=állandó az a kimeneti ellenálláshoz képest rövidzár (gyakorlatilag árammérő-vel kell lezárni). [math] I_B, I_C [/math] értékéből számítható.

Itt van elrendezés: https://wiki.sch.bme.hu/bin/view/Villanyalap/LaborI2006ZH

8. Egy ciklikusan működő állapotgép 2MHz-es órajellel működik. Az állapotgép 3 bites állapotai: 100, 010, 001. A többi kód nem fordulhat elő. Logikai analizátorral hogyan ellenőrizné, hogy nem lép hibás kódú állapotba a hálózat?

A logikai analizátor adat bemeneteire csatlakoztatjuk a számláló kimeneteit. Állapotanalízis üzemmódot állítunk be, a számláló órajele a mintavevő órajel. 2MHz-en ellenőrizzük, hogy a számláló állapotai megfelelnek-e az állapotgép működésének.

9. Neptun kód átvitele 2 Stopbittel.

  • Neptun kód: 6 karakter
  • 1 karakter átvitele: 1 start bit + 8 adatbit(maga a karakter) + 2 stop bit (paritás nem volt megadva az +1 bit lenne még.)
  • Tehát 1 karakter átvitele 11bit küldésével történik, innen 6 karakter = 66 bit
4-féle átviteli sebesség(gondolom) számolás neptun kód átviteléhez szükséges idő:
19200 bps 66/19200 0.00343 sec
38400 bps 66/38400 0.00171 sec
57600 bps 66/57600 0.00114 sec
115200 bps 66/115200 0.00057 sec


10. Hogyan tesztelne le egy FPGA-ban megvalósított, viszonylag kevés állípotú szinkron sorrendi hálózatot, ha a logikai analizátor áll rendelkezésre és az FPGA-ban még sok erőforrás van kihasználatlanul (bőven van hely további hardver megvalósításához)?

-- GAbika - 2010.12.08.