„Mérés 1 Ellenőrző kérdések 1” változatai közötti eltérés

A VIK Wikiből
Ugrás a navigációhoz Ugrás a kereséshez
a (→‎2010-es beugrók: Helyesírási hibák javítása)
5. sor: 5. sor:
 
==2010-es beugrók==
 
==2010-es beugrók==
  
# Adja meg egy 1 bites 4:1 multiplexer Verilog kódját deklarációval (portok d0,d1,d2,d3,adatbemenetek, s-kiválasztó bemenet, r kimenet)
+
# Adja meg egy 1 bites 4:1 multiplexer Verilog kódját deklarációval (portok: d0, d1, d2, d3 adatbemenetek, s-kiválasztó bemenet, r kimenet)
# Adja meg egy engedélyezhet, balra shiftelő 8 bites shiftregiszter Verilog kódját modul deklarácioval (prtok: clk-orajel, ce-engedélyezhető, din-egybites adatbemenet, dout-egybites adatkimenet.
+
# Adja meg egy engedélyezhet, balra shiftelő 8 bites shiftregiszter Verilog kódját modul deklarációval (portok: clk-órajel, ce-engedélyezhető, din-egybites adatbemenet, dout-egybites adatkimenet.
#. Adja meg egy aszinkron resetelhető egy digites BCD (binárisan kodolt decimális) felfelé számláló verilog kodját modul deklarácioval (portok: clk-orajel, rst-reset,dout-számlaló kimenet)
+
#. Adja meg egy aszinkron resetelhető, egy digites BCD (binárisan kódolt decimális) felfelé számláló verilog kódját modul deklarációval (portok: clk-órajel, rst-reset, dout-számláló kimenet)
#. Készítsen két darab kétbemenetű AND kapu példányosításával egy 3 bemenetű and kaput. A 3 bemenetű AND modul neve legeyn AND3, portjai: i0,i1,i2, bemenet és r kimenet.) A rendelkezésre álló 2 bemenetű AND kapu neve AND2, portjai i0,i1 bemenet és r kimenet. (a két bemenetű AND2 kapú, mint modul rendelekzeésre áll, nem kell megírni.)
+
#. Készítsen két darab kétbemenetű AND kapu példányosításával egy 3 bemenetű AND kaput. A 3 bemenetű AND modul neve legyen AND3, portjai: i0, i1, i2, bemenet és r kimenet.) A rendelkezésre álló 2 bemenetű AND kapu neve AND2, portjai i0, i1 bemenet és r kimenet. (a két bemenetű AND2 kapu, mint modul rendelkezésre áll, nem kell megírni.)
#. Egy Verilog Test Fixtureben az alábbi hullámformát akarjuk előllítani. Adja meg az ehhez tartozo verilog kodot.!
+
#. Egy Verilog Test Fixtureben az alábbi hullámformát akarjuk előállítani. Adja meg az ehhez tartozó verilog kódot.!
  
  
 
# Adja meg egy 2 bites adatbemenetekkel rendelkező 2:1 multiplexer Verilog kódját modul deklarációval (portok: d0, d1 – adatbemenetek, s – kiválasztó bemenet, r – kimenet).
 
# Adja meg egy 2 bites adatbemenetekkel rendelkező 2:1 multiplexer Verilog kódját modul deklarációval (portok: d0, d1 – adatbemenetek, s – kiválasztó bemenet, r – kimenet).
#. Adja meg egy párhuzamosan tölthető, balra shiftelő 8 bites shift regiszter Verilog kódját modul deklarációval (portok: clk – órajel, din – 1 bites adat bemenet, ldin – betöltendő adat bemenet, le – töltés engedélyezés, dout – 1 bites adat kimenet).
+
#. Adja meg egy párhuzamosan tölthető, balra shiftelő 8 bites shiftregiszter Verilog kódját modul deklarációval (portok: clk – órajel, din – 1 bites adat bemenet, ldin – betöltendő adat bemenet, le – töltés engedélyezés, dout – 1 bites adat kimenet).
 
# Adja meg egy aszinkron resetelhető számláló Verilog kódját modul deklarációval, mely a következő módon számol lefele: (7, 6, 5, 3, 2, 1, 0, 7, 6, 5, 3…) (portok: clk – órajel, rst – reset, dout – számláló kimenet).
 
# Adja meg egy aszinkron resetelhető számláló Verilog kódját modul deklarációval, mely a következő módon számol lefele: (7, 6, 5, 3, 2, 1, 0, 7, 6, 5, 3…) (portok: clk – órajel, rst – reset, dout – számláló kimenet).
# Adott egy and_gate és egy or_gate modul, melyek kétbemenetű és- illetve vagykaput valósítanak meg, bemeneteik in1 és in2, kimenetük out1. Ezek felhasználásával készítse el egy modul Verilog kódját modul deklarációval, amely a következő logikai  
+
# Adott egy and_gate és egy or_gate modul, melyek kétbemenetű és-, illetve vagy-kaput valósítanak meg, bemeneteik in1 és in2, kimenetük out1. Ezek felhasználásával készítse el egy modul Verilog kódját modul deklarációval, amely a következő logikai  
 
# Egy Verilog Test Fixture-ben az alábbi hullámformát szeretnénk előállítani. Adja meg az ehhez tartozó Verilog kódot.
 
# Egy Verilog Test Fixture-ben az alábbi hullámformát szeretnénk előállítani. Adja meg az ehhez tartozó Verilog kódot.
  
 
[[Category:Infoalap]]
 
[[Category:Infoalap]]

A lap 2013. szeptember 10., 18:58-kori változata

← Vissza az előző oldalra – Mérés laboratórium 1.

Az ellenőrző kérdésekre összeírt válaszok bemagolása nem helyettesíti a mérési útmutató átolvasásást. Olvassátok át a jegyzeteket, mérési útmutatókat is!

2010-es beugrók

  1. Adja meg egy 1 bites 4:1 multiplexer Verilog kódját deklarációval (portok: d0, d1, d2, d3 adatbemenetek, s-kiválasztó bemenet, r kimenet)
  2. Adja meg egy engedélyezhet, balra shiftelő 8 bites shiftregiszter Verilog kódját modul deklarációval (portok: clk-órajel, ce-engedélyezhető, din-egybites adatbemenet, dout-egybites adatkimenet.
  3. . Adja meg egy aszinkron resetelhető, egy digites BCD (binárisan kódolt decimális) felfelé számláló verilog kódját modul deklarációval (portok: clk-órajel, rst-reset, dout-számláló kimenet)
  4. . Készítsen két darab kétbemenetű AND kapu példányosításával egy 3 bemenetű AND kaput. A 3 bemenetű AND modul neve legyen AND3, portjai: i0, i1, i2, bemenet és r kimenet.) A rendelkezésre álló 2 bemenetű AND kapu neve AND2, portjai i0, i1 bemenet és r kimenet. (a két bemenetű AND2 kapu, mint modul rendelkezésre áll, nem kell megírni.)
  5. . Egy Verilog Test Fixtureben az alábbi hullámformát akarjuk előállítani. Adja meg az ehhez tartozó verilog kódot.!


  1. Adja meg egy 2 bites adatbemenetekkel rendelkező 2:1 multiplexer Verilog kódját modul deklarációval (portok: d0, d1 – adatbemenetek, s – kiválasztó bemenet, r – kimenet).
  2. . Adja meg egy párhuzamosan tölthető, balra shiftelő 8 bites shiftregiszter Verilog kódját modul deklarációval (portok: clk – órajel, din – 1 bites adat bemenet, ldin – betöltendő adat bemenet, le – töltés engedélyezés, dout – 1 bites adat kimenet).
  3. Adja meg egy aszinkron resetelhető számláló Verilog kódját modul deklarációval, mely a következő módon számol lefele: (7, 6, 5, 3, 2, 1, 0, 7, 6, 5, 3…) (portok: clk – órajel, rst – reset, dout – számláló kimenet).
  4. Adott egy and_gate és egy or_gate modul, melyek kétbemenetű és-, illetve vagy-kaput valósítanak meg, bemeneteik in1 és in2, kimenetük out1. Ezek felhasználásával készítse el egy modul Verilog kódját modul deklarációval, amely a következő logikai
  5. Egy Verilog Test Fixture-ben az alábbi hullámformát szeretnénk előállítani. Adja meg az ehhez tartozó Verilog kódot.